نیمه هادی ها

الکترون چیست؟<?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" />

الكترون معناي يوناني كهربا است كهربا ماده اي است كه در مالش به پارچه پشمي باردار شده و خرده هاي كوچك كاه را جذب مي كنداين ربايش بعلت نيرويي مرموز اتفاق مي افتد كه يونانيان آن را الكتريسيته ناميده اند

اجزای ماده :

همه مواد از ملكولهاي شكل ميگيرند كه آنها نيز خود از اتمها ساخته مي شوند . اتمها از دو جز’ اصلي الكترون و هسته ساخته مي شوند كه الكترونها در مدارهاي مشخص بدور هسته در گردش مي باشند .
چه عاملي سبب ماندن الكترون در مدار مشخص خود مي شود ؟
بين الكترون و هسته نيروي جاذبه الكتريكي وجود دارد كه اندازه آن برابر نيروي دافعه گريز از مركز ناشي از چرخش سريع الكترون بدور هسته مي باشد

درون هسته چيست ؟

هسته شامل ذرات بسياري است كه مهمتريت آنها از نظر جرم پروتون و نوترون است .

 بار الكتريكي چيست ؟
بين الكترونها و پروتونها نيروي جاذبه و بين خودشان باهم نيروي دافعه وجود دارد كه ماهيت اين نيروها هنوز شناخته نشده است اما براي تحليل ساده تر بارالكتريكي را مطرح كرده كه براي الكترون با علامت منفي و براي پروتون با علامت مثبت مشخص شده است.

 چگونه می توان مواد را باردار کرد ؟
روشهای باردار کردن ماده همان روشهای توليد الکتريسيته است .بعبارت ديگر می توان با استفاده از اين روشها الکتريسيته توليد کرد . ساده ترين اين روشها مالش دو ماده بهم است که باعث می شود الکترونها از يک ماده به ماده ديگری بروند و در نتيجه اختلاف بار بين دو ماده ايجاد شود . مثلا مالش يک ميله شيشه ای به يک پارچه پشمی سبب باردار شدن هر دو ماده می شود که يکی بار مثبت ( کمبود الکترون ) و ديگری بار منفی ( ازدياد الکترون) می يابد .

نيروي الكتريكي چيست ؟
بين بارهاي الكتريكي اعم از مثبت يا منفي نيروي الكتريكي وجود دارد اين نيرو به مقدار بار الكتريكي و فاصله آنها از هم بستگي دارد . مطابق قانون كولن مقدار نيرو از حاصل ضرب بارها در ضريب ثابتي كه به جنس محيط بستگي دارد تقسيم بر مجذور فاصله بين دو بار بدست مي آيد . اما در تحليل ساده تر هرچه مقدار بارها بيشتر باشد مقدار نيرو نيز بيشتر و هرچه فاصله آنها بيشتر شود مقدار نيرو نيز كمتر مي شود .



مواد در حالت عادي از نظر بار الكتريكي چگونه اند ؟
همه مواد در حالت عادي داراي مقدار الكترون و پروتون مساويند به همين دليل از نظر برايند بارهاي الكتريكي خنثي مي باشند .

چگونه مي توان يك ماده خنثي را باردار كرد ؟
هرگاه تعادل بين بارهاي مثبت و منفي در يك جسم خنثي بهم بخورد ماده بار دار شده است . بهمين منظور كليه روشهاي توليد الكتريسيته كاري نمي كنند جز برهم زدن تعادل بين بارهاي الكتريكي مثبت و منفي . مي دانيم كه الكترون نسبت به پروتون قابليت جابجايي و حركت بيشتري دارد . بنابراين مي توان با دادن يا گرفتن الكترون ماده را باردار نمود . اگر تعداد الكترونها بيشتر از تعداد پروتونها شود جسم بار منفي و در صورتي كه عكس اين حالت روي دهد جسم بار مثبت پيدا مي كند .

باردار كردن مواد چه ربطي به توليد الكتريسيته دارد ؟
اجازه دهيد براي جواب به اين سوال نخست مواد را دسته بندي كنيم:

 .مواد از نظر هدايت الكتريكي به چند دسته تقسيم مي شوند ؟
همه مواد از نظر هدايت الكتريكي جز يك از سه دسته زير مي باشند
الف - هادي ها : موادي كه براحتي برق را از خود عبور مي دهند 
ب - عايقها : موادي كه برق را از خود عبور نمي دهند
ج - نيمه هادي ها : اين مواد در شرايط خاصي مانند هادي ها يا نيمه هادي ها عمل مي كنند . اما در حالت عادي برق را به مقدار ناچيز از خود عبور مي دهند

هدايت الكتريكي عناصر

هدايت الكتريكي:

در تعريف هدايت الكتريكي مي توان گفت : اگر الكترون در باند هدايت قرار گيرد ، آنقدر وابستگي آن از اتم مادر كم مي شود كه مي توان آن را جا به جا كرد ، با جا به جايي اين الكترون جريان الكتريكي ايجاد شده ؛ در نتيجه هدايت الكتريكي خواهيم داشت .
عناصر از لحاظ هدايت الكتريكي به چهار د سته زير تقسيم مي شوند :
1. عايق ها (
Insulators
) .
2. نيمه هاديها (
Semi conductors) .
3. هاديها (
Conductors) .
4. ابر رساناها (
Super conductors) .
ساختار اتمي اين عناصر از سه نوع باند زير تشكيل شده است :
1. باند ظرفيت (
Balance Band ) .
2. باند ممنوعه (
Forbidden Band) .
3. باند هدايت (
Conductance) .
سطح انرژي اين سه باند رامي توان به صورت زير نشان داد :
باند ظرفيت > باند ممنوعه > باند هدايت .
عايقها :
در عايق ها شكاف انرژي (تفاوت انرژي باند هدايت و باند ظرفيت ) آنقدر بزرگ است كه با دادن انرژي به عنصر ، الكترون هاي باند ظرفيت نمي توانند به باند هدايت بروند در نتيجه هدايت الكتريكي نخواهيم داشت .
هاديها :
در هادي ها شكاف انرژي وجود ندارد . در نتيجه الكترون هاي باند ظرفيت آزادانه در دماي اتاق( (
Room Temperature =300 k به باند هدايت مي روند ؛ بنابراين هدايت الكتريكي در اين نوع عناصر وجود دارد .
نيمه هاديها :
در نيمه هادي ها شكاف انرژي صفر نيست اما در درجه حرارت اتاق معمولا يك الكترون- ولت (كمتر يا بيشتر) مي باشد كه درشرايط خاص مي توان بر آن غلبه كرد و الكترون هاي باند ظرفيت رابه باند هدايت فرستاد تا هدايت الكتريكي ايجاد شود .

پيوند كووالانسي نيمه هادي ها:

پيوند كووالانسي نيمه هادي ها :
گرچه تعداد زيادي از عناصر داراي خاصيت نيمه هادي الكتريكي هستند ، ولي در اينجا به بررسي عناصر سيليكن و ژرمانيم كه داراي كاربرد وسيعي در الكترونيك مي باشند، مي پردازيم. اين عناصر (سيليكن و ژرمانيم ) عناصر چهار ظرفيتي بوده كه در باند ظرفيت داراي چهار الكترون هستند [تعداد الكترونهاي سيليكن 14 و ژرمانيم 32 است ]. علاوه بر سيليكن و ژرمانيم عناصر ديگر نظير كربن و يا تركيباتي مثل گاليم ، ارسنيد (
Ga-As
) مي توانند به صورت نيمه هادي مورد استفاده قرار گيرند ، ولي به علت ملاحظات عملي كاربرد سيليكن و ژرمانيم در ساختن قطعات الكتريكي بطور وسيعي افزايش يافته است .
عناصر سيليكن و ژرمانيم هر دو داراي ساختمان كريستالي هستند . ساختمان كريستالي اين عناصر نظير اكثر جامدات ، بصورت سه بعدي و منظم است .
ساختمان سه بعدي كريستالهاي سيليكن و ژرمانيم بصورت هرم چهار گوش مي باشد كه در هر راس آن يك اتم قرار گرفته است.
در اين شبكه كريستالي چهار الكترون ظرفيت هر يك ازا تمها با الكترونهاي ظرفيت اتمهاي مجاور خود به اشتراك گذاشته شده و پيوند ظرفيتي تشكيل مي دهند . بنابراين هر اتم ، ديگر داراي چهار الكترون ظرفيت نبوده بلكه در مدار خارجي آن هشت الكترون مشترك با ساير اتمهاي مجاور قرار خواهد گرفت . اين به اشتراك گذاشتن الكترونها باعث پيوند هر اتم با اتمهاي مجاور خواهد بود . الكترونهايي كه در اين پيوندهاي كووالانسي قرار مي گيرند ، الكترونهاي آزاد نبوده و نمي توانند در هدايت الكتريكي شركت نمايند . اين الكترونها وابسته به هسته هاي اتمي بوده و به اين ترتيب اين عناصر با وجود داشتن جهار الكترون ظرفيت ، داراي هدايت الكتريكي خيلي كمي خواهند بود .
اگر به اتمهاي اين عناصر انرژي كافي داده شود ، در اينصورت بعضي از اين پيوندها شكسته شده و الكترونهاي باند ظرفيت وارد باند هدايت شده و نظير الكترون آزاد عمل مي نمايند و به اين ترتيب هدايت الكتريكي آن افزايش پيدا مي كند .
انرژي لازم براي تحريك اتمها و يا شكستن پيوندهاي كووالانسي مي تواند بصورت انرژي نوراني ، حرارتي و يا الكتريكي به عنصر اعمال شود .
يك نيمه هادي خالص كه در آن الكترونهاي باند ظرفيت تشكيل پيوند كووالانسي مي دهند بصورت يك عايق عمل مي نمايند . در اينحالت سطوح انرژي باند هدايت خالي است . در درجه حرارت اتاق ، انرژي حرارتي كافي براي شكستن بعضي از پيوندهاي ظرفيتي وجود دارد. بنابراين برخي از پيوندها شكسته شده و الكترونهايي آزاد مي شوند . اما اگر نيمه هادي سرد شود و درجه حرارت آن به صفر مطلق برسد ؛ در اينصورت انرژي حرارتي از بين رفته وتمامي الكترونهاي عنصر تشكيل پيونهاي ظرفيتي خواهند داد (مگر اينكه شكل ديگري از انرژي به عنصر اعمال شده باشد ) . در اينحالت عنصر به هيچوجه هدايت نخواهد كرد .


<?xml:namespace prefix = v ns = "urn:schemas-microsoft-com:vml" />

فرايند‌هاي توليد قطعات الكترونيكي

ماده اوليه
امروزه همه مي‌دانند كه ماده اوليه پردازنده‌ها همچون ديگر مدارات مجتمع الكترونيكي، سيليكون است. در واقع سيليكون همان ماده‌ سازنده شيشه است كه از شن استخراج مي‌شود. البته عناصر بسيار ديگري هم در اين فرايند به‌كار برده مي‌شوند و ليكن از نظر درصد وزني، سهم مجموع اين عناصر نسبت به سيليكون به‌كار رفته در محصول نهايي بسيار جزئي است.

آلومينيوم يكي از مواد ديگري است كه در فرايند توليد پردازنده‌ها اهميت زيادي دارد. هرچند كه در پردازنده‌هاي مدرن، مس به‌تدريج جايگزين آلومينيوم مي‌شود.

علاوه بر آنكه فلز مس داراي ضريب هدايت الكتريكي بيشتري نسبت به آلومينيوم است، دليل مهم‌تري هم براي استفاده از مس در طراحي پردازنده‌هاي مدرن امروزي وجود دارد. يكي از بزرگ‌ترين مسائلي كه در طراحي پردازنده‌هاي امروزي مطرح است، موضوع نياز به ساختارهاي فيزيكي ظريف‌تر است. به‌ياد داريد كه اندازه‌ها در پردازنده‌هاي امروزي در حد چند ده نانومتر هستند. پس ازآنجايي‌كه با استفاده از فلز مس، مي‌توان اتصالات ظريف‌تري ايجاد كرد، اين فلز جايگزين آلومينيوم شده است.
آماده‌سازي
فرايند‌هاي توليد قطعات الكترونيكي از يك جهت با بسياري از فرايند‌هاي توليد ديگر متفاوت است. در فرايند‌هاي توليد قطعات الكترونيك، درجه خلوص مواد اوليه مورد نياز در حد بسيار بالايي اهميت بسيار زيادي دارند. اهميت اين موضوع در حدي است كه از اصطلاح
electronic grade براي اشاره به درجه خلوص بسيار بالاي مواد استفاده مي‌شود.

به همين دليل مرحله‌ مهمي به‌نام آماده‌سازي در تمامي فرايند‌هاي توليد قطعات الكترونيك وجود دارد. در اين مرحله درجه خلوص موارد اوليه به روش‌هاي گوناگون و در مراحل متعدد افزايش داده مي‌شود تا در نهايت به مقدار خلوص مورد نظر برسد. درجه خلوص مواد اوليه مورد نياز در اين صنعت به اندازه‌اي بالا است كه توسط واحد‌هايي مانند ppm به معني چند اتم ناخالصي در يك ميليون اتم ماده اوليه، بيان مي‌شوند.

آخرين مرحله خالص‌سازي ماده سيليكون، به‌اين صورت انجام مي‌شود كه يك بلورِ خالص سيليكون درون ظرف سيليكون مذاب خالص شده قرار داده مي‌شود، تا بلور بازهم خالص‌تري در اين ظرف رشد كند (همان‌طور كه بلورهاي نبات در درون محلول اشباع شده به‌دور يك ريسمان نازك رشد مي‌كنند). در واقع به اين ترتيب، ماده سيليكون مورد نياز به‌صورت يك شمش تك كريستالي تهيه مي‌شود (يعني تمام يك شمش بيست سانتي‌متري سيليكون، يك بلور پيوسته و بدون نقص بايد باشد!).

اين روش در صنعت توليد چيپ‌ به روش CZ معروف است. تهيه چنين شمش تك بلوري سيليكون آن‌قدر اهميت دارد كه يكي از تحقيقات اخير اينتل و ديگر شركت‌هاي توليد‌كننده پردازنده، معطوف توليد شمش‌هاي سي‌سانتي‌متري سيليكون تك‌بلوري بوده است. درحالي‌كه خط توليد شمش‌هاي بيست سانتي‌متري سيليكون هزينه‌اي معادل 5/1 ميليارد دلار در بر دارد، شركت‌هاي توليد كننده پردازنده، براي به‌دست آوردن خط توليد شمش‌هاي تك بلوري سيليكون سي سانتي‌متري، 5/3 ميليارد دلار هزينه مي‌كنند.

موضوع جالب توجه در اين مورد آن است كه تغيير اندازه شمش‌هاي سيليكون تك‌بلوري، تا كنون سريع‌تر از يك‌بار در هر ده‌ سال نبوده است.

پس از آنكه يك بلور سيليكوني غول‌آسا به شكل يك استوانه تهيه گشت، گام بعدي ورقه ورقه بريدن اين بلور است. هر ورقه نازك از اين سيليكون، يك ويفر ناميده مي‌شود كه اساس ساختار پردازنده‌ها را تشكيل مي‌دهد. در واقع تمام مدارات يا ترانزيستورهاي لازم، بر روي اين ويفر توليد مي‌شوند. هر چه اين ورقه‌ها نازك‌تر باشند، عمل برش بدون آسيب ديدن ويفر مشكل‌تر خواهد شد.

از طرف ديگر اين موضوع به معني افزايش تعداد چيپ‌هايي است كه مي‌توان با يك شمش سيليكوني تهيه كرد. در هر صورت پس از آنكه ويفر‌هاي سيليكوني بريده شدند، نوبت به صيقل‌كاري آنها مي‌رسد. ويفر‌ها آنقدر صيقل داده مي‌شوند كه سطوح آنها آيينه‌اي شود. كوچكترين نقصي در اين ويفر‌ها موجب عدم كاركرد محصول نهايي خواهد بود. به همين دليل، يكي ديگر از مراحل بسيار دقيق بازرسي محصول در اين مرحله صورت مي‌گيرد. در اين گام، علاوه بر نقص‌هاي بلوري كه ممكن است در فرايند توليد شمش سيليكون ايجاد شده باشند، نقص‌هاي حاصل از فرايند برش كريستال نيز به‌دقت مورد كنكاش قرار مي‌گيرند.

پس از اين مرحله، نوبت به ساخت ترانزيستور‌ها بر روي ويفر سيليكوني مي‌رسد. براي اين‌كار لازم است كه مقدار بسيار دقيق و مشخصي از ماده ديگري به درون بلور سيليكون تزريق شود. بدين معني كه بين هر مجموعه اتم سيليكون در ساختار بلوري، دقيقاً يك اتم از ماده ديگر قرار گيرد. در واقع اين مرحله نخستين گام فرايند توليد ماده نيمه‌هادي محسوب مي‌شود كه اساس ساختمان قطعات الكترونيك مانند ترانزيستور را تشكيل مي‌دهد. ترانزيستورهايي كه در پردازنده‌هاي امروزي به‌كار گرفته مي‌شوند، توسط تكنولوژي CMOS توليد مي‌شوند.
CMOS مخفف عبارت Complementary Metal Oxide Semiconductor است. در اينجا منظور از واژه Complementaryآن است كه در اين تكنولوژي، از تعامل نيمه‌هادي‌هاي نوع n و p استفاده مي‌شود.

بدون آنكه بخواهيم وارد جزئيات فني چگونگي توليد ترانزيستور بر روي ويفر‌هاي سيليكوني بشويم، تنها اشاره مي‌كنيم كه در اين مرحله، بر اثر تزريق مواد گوناگون و همچنين ايجاد پوشش‌هاي فلزي فوق نازك (در حد ضخامت چند اتم) در مراحل متعدد، يك ساختار چند لايه و ساندويچي بر روي ويفر سيليكوني اوليه شكل مي‌گيرد. در طول اين فرايند، ويفر ساندويچي سيليكوني در كوره‌اي قرار داده مي‌شود تا تحت شرايط كنترل‌شده و بسيار دقيق (حتي در اتمسفر مشخص)، پخته مي‌شود و لايه‌اي از SiO2 بر روي ويفر ساندويچي تشكيل شود.

در جديدترين فناوري اينتل كه به تكنولوژي 90 نانومتري معروف است، ضخامت لايه SiO2 فقط 5 اتم است! اين لايه در مراحل بعدي دروازه يا gate هر ترانزيستور واقع در چيپ پردازنده خواهد بود كه جريان الكتريكي عبوري را در كنترل خود دارد (ترانزيستورهاي تشكيل دهنده تكنولوژي CMOS از نوع ترانزيستورهاي اثر ميداني يا Field Effect Transistor :FET ناميده مي‌شوند. در اين ترانزيستورها، جريان الكتريكي از اتصالي به‌نام Source به اتصال ديگري به‌نام Drain جريان مي‌يابد. وظيفه اتصال سوم به‌نام Gate در اين ترانزيستور، كنترل و مديريت بر مقدار و چگونگي عبور جريان الكتريكي از يك اتصال به اتصال ديگر است).

آخرين مرحله آماده‌سازي ويفر، قرار دادن پوشش ظريف ديگري بر روي ساندويچ سيليكوني است كه photo-resist نام دارد. ويژگي اين لايه آخر، همان‌طور كه از نام آن مشخص مي شود، مقاومت در برابر نور است. در واقع اين لايه از مواد شيميايي ويژه‌اي ساخته شده است كه اگر در معرض تابش نور قرار گرفته شود، مي‌توان آن‌را در محلول ويژه‌اي حل كرده و شست و در غير اين صورت (يعني اگر نور به اين پوشش تابانده نشده باشد)، اين پوشش در حلال حل نخواهد شد. فلسفه استفاده از چنين ماده‌اي را در بخش بعدي مطالعه خواهيد كرد.

ماسك كردن
اين مرحله از توليد پردازنده‌ها، به‌نوعي از مراحل قبلي كار نيز مهم‌تر است. در اين مرحله عمل فتوليتوگرافي
(
Photolithography) بر روي ويفر ساندويچي انجام مي‌شود. در واقع آنچه در اين مرحله انجام مي‌شود آن است كه بر روي ويفر سيليكوني، نقشه و الگوي استنسيل مشخصي با استفاده از فرايند فتوليتوگرافي چاپ مي‌شود، تا بتوان در مرحله بعدي با حل‌كردن و شستن ناحيه‌هاي نور ديده به ساختار مورد نظر رسيد (ازآنجايي كه قرار است نقشه پيچيده‌اي بر روي مساحت كوچكي چاپ شود، از روش فتوليتوگرافي كمك گرفته مي‌شود.

در اين روش نقشه مورد نظر در مقياس‌هاي بزرگتر- يعني در اندازه‌هايي كه بتوان در عمل آنرا توليد كرد، مثلاً در مربعي به مساحت يك متر مربع - تهيه مي‌شود. سپس با تاباندن نور به الگو و استفاده از روش‌هاي اپتيكي، تصوير الگو را بر روي ناحيه بسيار كوچك ويفر مي‌تابانند. مثلاً الگويي كه در مساحت يك متر مربع تهيه شده بود، به تصوير كوچكي در اندازه‌هاي چند ميليمتر مربع تبديل مي‌شود!). در اين موارد چند نكته جالب توجه وجود دارد. نخست آنكه الگوها و نقشه‌هايي كه بايد بر روي ويفر چاپ شوند، آنقدر پيچيده هستند كه براي توصيف آنها به 10 گيگابايت داده نياز است.

در‌واقع مي‌توان اين موضوع را به حالتي تشبيه كرد كه در آن قرار است نقشه‌اي مانند نقشه يك شهر بزرگ با تمام جزئيات شهري و ساختماني آن بر روي ويفر سيليكوني به مساحت چند ميلي‌متر مربع، چاپ شود. نكته ديگر آنكه در ساختمان چيپ‌هاي پردازنده، بيش از بيست لايه مختلف وجود دارد كه براي هر يك از آنها لازم است چنين نقشه‌هايي ليتوگرافي شود.

موضوع ديگري كه بد نيست در اينجا ذكر‌شود، آن است كه همانطور كه از دروس دبيرستاني ممكن است به‌ياد داشته باشيد، نور در لبه‌هاي اجسام دچار انحراف از مسير راست مي‌شود. پديده‌اي كه به پراش يا Diffraction معروف است. هرچه لبه‌هاي اجسامي كه در مسير تابش واقع شده‌اند، كوچك‌تر يا ظريف‌تر باشند، پديده پراش شديد‌تر خواهد بود.

در واقع يكي از بزرگ‌ترين موانع توليد پردازنده‌هايي كه در آنها از ساختار‌هاي ظريف‌تري استفاده شده باشد، همين موضوع پراكندگي يا تفريق نور است كه باعث مات‌شدن تصويري مي‌شود كه قرار است بر روي ويفر چاپ شود. براي مقابله با اين مسئله، يكي از موثرترين روش‌ها، آن است كه از نوري در عمل فتوليتوگرافي استفاده كنيم كه داراي طول موج كوچك‌تري است (بر اساس اصول اپتيك، هرچه طول موج نور تابانده شده كوچك‌تر باشد، شدت پديده پراكندگي نور در لبه‌هاي اجسام كمتر خواهد بود). براي همين منظور در توليد پردازنده‌ها، از نور UV (ماوراي بنفش) استفاده مي‌شود.

در واقع براي آنكه بتوان تصوير شفاف و ظريفي در اندازه‌ها و مقياس آنچناني بر روي ويفر‌ها توليد كرد، تنها طول‌ موج ماوراي بنفش جوابگو خواهد بود. اما اگر بخواهيم در نسل بعدي پردازنده‌ها، از الگوهاي پيچيده‌تري استفاده كنيم، تكليف چه خواهد بود؟ در تئوري مي‌توان از تابشي با طول موج بازهم كوتاه‌تري استفاده كرد. اما مشكل در اينجا است كه تابش با طول موج كوتاه‌تر به معني استفاده از نوعي اشعه ايكس است. مي‌دانيد كه چنين اشعه‌اي بيشتر از آنكه قادر باشد تصويري از نقشه مورد نظر بر روي ويفر ايجاد كند، به‌علت قابليت نفوذ زياد، از تمامي نواحي الگو به‌طور يكسان عبور خواهد كرد!

از موارد فوق كه بگذريم، پس از آنكه نقشه مورد‌نظر بر روي ويفر چاپ شد، ويفر درون محلول شيميايي ويژه‌اي قرار داده مي‌شود تا جاهايي كه در معرض تابش واقع شده‌اند، در آن حل شوند. بدين ترتيب شهر مينياتوري را بر روي ويفر سيليكوني تجسم كنيد كه در اين شهر خانه‌ها داراي سقفي از جنس SiO2 هستند (مكان‌هايي كه نور نديده‌اند و در‌نتيجه لايه مقاوم در برابر حلال مانع از حل شدن ( SiO2 بوده است). خيابان‌هاي اين شهر فرضي نواحي كه مورد تابش نور واقع شده‌اند و لايه مقاوم آن و همچنين لايه SiO2 در حلال حل شده‌اند) از جنس سيليكون هستند.
تكرار
پس از اين مرحله، لايه
photo-resist باقي مانده از روي ويفر برداشته مي‌شود. در اين مرحله ويفري در اختيار خواهيم داشت كه در آن ديواره‌اي از جنس SiO2 در زميني از جنس سيليكون واقع شده‌اند. پس از اين گام، يكبار ديگر يك لايه SiO2 به همراه پلي‌سيليكون (Polysilicon) بر روي ويفر ايجاد شده و بار ديگر لايه photo-resist جديدي بر روي ويفر پوشانده مي‌شود.

همانند مرحله قبلي، چندين بار ديگر مراحل تابش نور و در حلال قرار دادن ويفر انجام مي‌شوند. بدين ترتيب پس از دست يافتن به ساختار مناسب، ويفر در معرض بمباران يوني مواد مختلف واقع مي‌شود تا نيمه‌هادي نوع
n و p بر روي نواحي سيليكوني باقي‌مانده تشكيل شوند. به اين وسيله، مواد مشخصي در مقادير بسيار كم و دقيق به‌درون بلور سيليكون نفوذ داده مي‌شوند تا خواص نيمه‌هادي نوع n و p به‌دست آيند. تا اينجاي كار، يك لايه كامل از نقشه الكترونيكي ترانزيستوري دوبعدي بر روي ويفر سيليكوني تشكيل شده است.

با تكرار مراحل فوق، عملاً ساختار لايه‌اي سه بعدي از مدارات الكترونيكي درون پردازنده تشكيل مي‌شود. در بين هر چند لايه، از لايه‌اي فلزي استفاده مي‌شود كه با حك كردن الگو‌هاي مشخص بر روي آنها به همان روش‌هاي قبلي، لايه‌هاي سيم‌بندي بين المان‌ها ساخته شوند. پردازنده‌هاي امروزي اينتل، مثلاً پردازنده پنتيوم چهار، از هفت لايه فلزي در ساختار خود بهره مي‌گيرد. پردازنده AMD Athlon 64 از 9 لايه فلزي استفاده مي‌كند.

p-nساخت پیوند:


ساخت پیوند
p-n
برای ساختن پیوند
p-n به یک بخش از یک تک بلور نیمه هادی نا خالصی نوع n و به بخش دیگر نا خالصی نوع p
می افزایند . پیوند ها بسته به چگونگی ایجاد ناحیه ی انتقال از
pبه n دردرون تک بلور طبقه بندی می شوند . هنگامی که ناحیه انتقال بسیار باریک باشد , پیوند ناگهانی نامیده می شود . پیوند تدریجی پیوندی است که ناحیه انتقالش در محدوده ی وسیعتری "پخش " شده باشد.

پیوند
p-n ناگهانی به وسیله ی آلیاژ سازی و رشد رونشتی تشکیل می شوند . پیوند های تدریجی از طریق نفوذ گازی ناخالصیها یا کشت یونها ساخته می شوند.

رشد رونشستی :
رشد رونشستی یک لایه ی نیمه هادی روی یک پایه ی تک بلور نیمه هادی روشی برای تشکیل ناگهانی است . رشد رونشستی با گرم کردن پولک میزبان ؛ مثلأ سیلیسیم نوع
n و عبور دادن جریان کنترل شده ی گازی حاوی تتراکلرید سیلیسیم ((sicl4و هیدروژن از روی سطح انجام می شود . در اثر فعل و انفعال گازها اتمهای سیلیسیم روی سطح پولک میزبان ته نشین می شود . چون معمولأ دما بالاتر از 1000درجه سانتی گراد است ؛ اتمهای ته نشین شده انرژی و قابلیت حرکت کافی دارند تا خود را به طور صحیح با شبکه ی بلور میزبان تطبیق دهند . این عمل سبب می شود که شبکه از روی سطح اصلی به طرف بالا امتداد یابد . سرعت نمونه ای رشد لایه ی رونشستی حدود یک میکرون در هر دقیقه است.

برای تشکیل لایه های نوع n یا p می توان در هنگام رشد رونشستی ؛ انتهای ناخالصی را به شکل ترکیب گازی به گاز حامل اضافه کرد . با رشد دادن یک لایه ی نوع pرونشستی (epi) بر روی یک پولک میزبان نوع nپک پیوند تقریبأ ناگهانی شکل می گیرد.البته ؛ ترتیبهای دیگر مثل رشد لایه ی نوع n به روش نشستی روی یک لایه ی نوع p نیز ممکن است.

فرایند رونشستی به طور وسیع در ساخت مدارهای مجتمع (IC)ها به کار می رود. دیود p-n تشکیل شده در فرایند رونشستی (epi)به طور معکوس با یاس می شود تا مدار را از پایه (پولک میزبان جدا سازد . اخیرأ از روش رونشستی در شکل دهی ساختارهای SOSمخفف Si-on_sapphire یا Si-on-spinel سیلیسیم)روی یاقوت سرخ یا یاقوت کبود ) است. یاقوتهای کبود , ترکیبات گوناگونی از اکسید منیزیم (Mgo)و اکسیدآلومینیم (Al203) هستند و ارتباط نزدیکی با یاقوت سرخ دارند . به طور خلاصه ناخالصی سیلیسیم به طریق رونشستی بر روی پایه های یاقوت سرخ یا کبود رشد داده می شود .انگیزه استفاده از پایه های یاقوت سرخ یا کبود , کیفیت عایق بودن این پایه ها در جدا سازی مدارها در طراحی IC های حاوی ادوات سریع ,به خصوص مدارهای مجتمع در مقیاس فشرده (LSI) است .

ديود زنر

ديود زنر:
ديود هاي زنر يا شكست ، ديود هاي نيمه هادي با پيوند
p-n هستند كه در ناحيه باياس معكوس كار كرده و داراي كاربردهاي زيادي در الكترونيك ، مخصوصآ به عنوان ولتاژ مبنا و يا تثبيت كننده ي ولتاژ دارند.

هنگاميكه پتانسيل الكتريكي دو سر ديود را در جهت معكوس افزايش دهيم در ولتاژ خاصي پديده شكست اتفاق مي افتد، بد ين معني كه با افزايش بيشتر ولتاژ ، جريان بطور سريع و ناگهاني افزايش خواهد داشت. ديود هاي زنر يا شكست ديود هايي هستند كه در اين ناحيه يعني ناحيه شكست كار ميكنند و ظرفيت حرارتي آنها طوري است كه قادر به تحمل محدود جريانمعيني در حالت شكست مي باشند، براي توجيه فيزيكي پديده شكست دو نوع مكانيسم وجود دارد.
مكانيسم اول در ولتاژهاي كمتر از 6 ولت براي ديودهايي كه غلظت حامل ها در آن زياد است اتفاق مي افتد و به پديده شكست زنر مشهور است. در اين نوع ديود ها به علت زياد بودن غلظت ناخالصي ها در دو قسمت
p و n ، عرض منطقه ي بار فضاي پيوند باريك بوده و در نتيجه با قرار دادن يك اختلاف پتانسيل v
بر روي ديود (پتانسيل معكوس) ، ميدان الكتريكي زيادي در منطقه ي پيوند ايجاد مي شود.
با افزايش پتانسيل
v به حدي مي رسيمكه نيروي حاصل از ميدان الكتريكي ، يكي از پيوند هاي كووالانسي را مي شكند. با افزايش بيشتر پتانسيل دو سر ديود از انجايي كه انرژي يا نيروهاي پيوند كووالانسي باند ظرفيت در كريستال نيمه هادي تقريبأ مساوي صفر است ، پتانسيل تغيير چنداني نكرده ، بلكه تعداد بيشتري از پيوندهاي ظرفيتي شكسته شده و جريان ديود افزايش مي يابد.
آزمايش نشان ميدهد كه ضريب حرارتي ولتاژ شكست براي اين نوع ديود منفي است ، يعني با افزايش درجه حرارت ولتاژ شكست كاهش مي يا بد. بنابر اين ديود با ولتاژ كمتري به حالت شكست مي رود (انرژي باند غدغن براي سيليكن و ژرمانيم در درجه حرارت صفر مطلق بترتيب 1.21 و0.785 الكترون_ولت است، و در درجه حرارت 300 درجه كلوين اين انرژي براي سيليكن
ev 1.1و براي ژرمانيم ev0.72 خواهد بود). ثابت مي شود كه مي دان الكتريكي لازم براي ايجاد پديده زنر در حدود 2*10است.
اين مقدار براي ديود هايي كه در آنها غلظت حامل ها خيلي زياد است در ولتاژهاي كمتر از 6 ولت ايجاد مي شود . براي ديودهايي كه داراي غلظت حاملهاي كمتري هستند ولتاژ شكست زنر بالاتر بوده و پديده ي ديگري بنام شكست بهمني در آنها اتفاق مي افتد (قبل از شكست زنر) كه ذيلأ به بررسي آن مي پردازيم.
مكانيسم ديگري كه براي پديده شكست ذكر مي شود ، مكانيسم شكست بهمني است. اين مكانيسم در مورد ديودهايي كه ولتاژ شكست آنها بيشتر از 6 ولت است صادق مي باشد . در اين ديود ها به علت كم بودن غلظت ناخالصي ، عرض منطقه ي بار فضا زياد بوده و ميدان الكتريكي كافي براي شكستن پيوندهاي كووالانسي بوجود نمي آيد ، بلكه حاملهاي اقليتي كه بواسطه انرژي حرارتي آزاد مي شود ، در اثر ميدان الكتريكي شتاب گرفته و انرژي جنبشي كافي بدست آورده و در بار فضا با يون هاي كريستال برخورد كرده و در نتيجه پيوندهاي كووالانسي را مي شكنند . با شكستن هر پيوند حاملهاي ايجاد شده كه خود باعث شكستن پيوند هاي بيشتر مي شوند .
بدين ترتيب پيوندها بطور تصاعدي يا زنجيري و يا بصورت پديده ي بهمني شكسته مي شوند و اين باعث مي شود كه ولتاژ دو سر ديود تقريبأ ثابت مانده و جريان آن افزايش يافته و بواسطه ي مدار خارجي محدود مي شود . چنين ديود هايي داراي ضريب درجه ي حرارتي مثبت هستند . زيرا با افزايش درجه ي حرارت اتمهاي متشكله كريستال به ارتعاش در آورده ، در نتيجه احتمال برخورد حاملهاي اقليت با يونها ، بهنگام عبور از منطقه بار فضا زيادتر مي گردد . به علت زياد شدن برخوردها احتمال اينكه انرژي جنبشي حفره يا الكترون بين دو برخورد متوالي بمقدار لازم براي شكست پيوند برسد كمتر شده و در نتيجه ولتاژ شكست افزايش مي يابد.

 

 

 


مطالب مشابه :


ادوات نیمه هادی

ادوات نیمه هادی. تاریخ : دوشنبه ۲۶ نویسنده : Ali Shokouhi Rostami کتاب خوب برای ادوات نیمه




دانلود کتاب تئوری تکنولوژی ساخت ادوات نیمه هادی

بسمه تعالی. کتاب مرجع ادوات نیمه هادی را میتونید اینجا دانلود کنید. Semiconductor Physics And Devices 3rd ed




درس تئوری و تکنولوژی ساخت ادوات نیمه هادی

شود:بخش تئوری و بخش تکنولوژی ساخت ادوات نیمه هادی. تدریس می شود.این کتاب دو ویرایش دارد .




تئوری و تکنولوژی ساخت ادوات نیمه رسانا

ظاهرا مبحث تئوری و تکنولوژی ساخت ادوات نیمه هادی یکی از فایل ویرایش دوم این کتاب




نیمه هادی ها

دانلود مقالات+کتاب ی نیمه هادی روی یک پایه ی ic های حاوی ادوات سریع ,به




درس تئوری و تکنولوژی ساخت ادوات نیمه هادی

درس تئوری و تکنولوژی ساخت ادوات نیمه هادی منبع اصلی این درس کتاب nonlinear optics است که برای




انجام تمرین ها ، سمینارها و پرژه های ادوات نیمه هادی و تئوری و تکنولوژی ادوات نیمه هادی و نرم افزاره

انجام تمرین ها ، سمینارها و پرژه های ادوات نیمه هادی و تئوری و و journal ها و کتاب




مراجع پیشنهادی برای آزمون دکتری مهندسی برق الکترونیک

1- کتاب cmos بهزاد رضوی 1- کتاب فیزیک نیمه هادی ها و تکنولوژی ساخت قطعات نیمه هادی




حل تمرین کتاب فیزیک الکترونیک

درس تئوری ساخت ادوات نیمه هادی. درس الکترونیک 3. درس روش حل تمرین کتاب 2 (The PN Junction Diode)




بررسی انواع حافظه های نیمه هادی

و نیمه هادی تقسیم می شوند. ابزار و ادوات دوست من کتاب;




برچسب :